What is I2C, Basics for Beginners
18:30
Why I Hate Karnaugh Maps
4:34
4 роки тому
SPI Master in FPGA, VHDL Testbench
11:01
What is SPI?  Basics for beginners!
13:04
КОМЕНТАРІ
@NivagSwerdna
@NivagSwerdna 3 години тому
Yay! That's good news.
@gimpel18
@gimpel18 3 години тому
hello. and in your first lesson on the site ("Tutorial: Your First FPGA Program: An LED Blinker. Part 1: Design of VHDL or Verilog"), where do you connect the frequency output? could you tell us more about how you perform frequency division in this example.
@Hfgh564
@Hfgh564 8 годин тому
Thanks Russell!
@justincoon7971
@justincoon7971 12 годин тому
this is the best news of the decade
@aarondcmedia9585
@aarondcmedia9585 13 годин тому
Congrats, great work
@fredo514
@fredo514 15 годин тому
They did the same with ispLever a few years ago. I can’t believe they wanted to make people pay for the garbage that is iceCube2…
@Polprzewodnikowy
@Polprzewodnikowy 18 годин тому
FPGA design software is still a joke in year 2024, no matter if it's from big players (Xilinx/Altera/Lattice) or lesser known like Efinix. Every single one FPGA manufacturer has this stupid license requirement that can change at any time, because they know there are no alternatives. And Lattice actually proved this can and *will* happen eventually. I have a Lattice Diamond project designed for the MachXO2 and I'm now concerned that I might some day just lose any ability to work on it. I still need to renew "free license" for Diamond every year. I really wish they were forced to publish all relevant documentation so free open source software could do better job than manufacturers. Not to mention Diamond being so bad and behind any other tool that doing any timing analysis is the worst nightmare. Yosys and everything around it is very promising but it obviously doesn't support anything less popular. I can just imagine how hard is to reverse engineer bitstreams for every single new FPGA family. Huge kudos for anyone who's doing this without documentation from the manufacturer.
@lawrencemanning
@lawrencemanning 7 годин тому
They shouldn’t be forced to do anything, that’s crazy. It’s all property owned by them. It’s just how it is and needs to be factored into the business (or otherwise) risk. It’s exactly the same for other types of tech like MCUs or even folks writing “modern” cloud web applications. I use Yosys for my projects. Previously I used quartus. This is just hobby stuff. I wish Yosys was available for older Altera parts, but I’ll use it where I can, as everyone should.
@Polprzewodnikowy
@Polprzewodnikowy 5 годин тому
Sorry but I strongly disagree, especially when you mention MCUs. I can use GCC based toolchain with vast majority of microcontrollers, all I need is memory map and peripheral documentation. FPGAs are nowhere near this level of freedom because bitstream format is just a black box, not documented at all. But this will never change with the "protecting the business" mentality. Other industries already adopted open source technology to a great benefit. Wish this will happen to the FPGA industry.
@lawrencemanning
@lawrencemanning Годину тому
It was only your bit about being forced into a particular behaviour I disagree with. The free market doesn’t work like that. What I don’t understand is how they are damaged by releasing this information, but it’s for them to choose as it is their property. We are still in a much better situation than we were a decade ago with this stuff. There was literally no alternate than proprietary software, for any programmable logic part. Maybe eventually they will see the logic in open sourcing these tools.
@darronvanaria2952
@darronvanaria2952 18 годин тому
Hey! Nice to see a new video: really enjoying the book- I’ll leave a review on Amazon pointing out that the IDE is free again. I’d love to see a video on what you think of the current state of the embedded/fpga industry. I’m trying to break in- are companies hiring novice FPGA programmers? Are you currently still working professionally in FPGA work?
@PiotrEsdenTempski
@PiotrEsdenTempski 20 годин тому
@nandland You might want to document the use of the open tools (Yosys, nextpnr, icestorm) for your book as a solution. I personally find it easier to use than the iCEcube2 software. It is very mature for the iCE40 lineup of parts, I did several production projects with it. There was no need to even consider using iCEcube2 as far as I am concerned, especially because the open tools can be used as part of the CI system.
@SvenneKrap
@SvenneKrap 22 години тому
What about a updated f4pga tutorial due to the occasion? Close source tools (even when temprarily at no cost) always have this risk, that is why I am so pro open-source...
@lawrencemanning
@lawrencemanning 19 годин тому
Yup. I can’t say anything for Windows users but the OSS iCE40 tools are fantastic on Linux. I wish yosys etc worked on my Cyclone 4 board.
@lawrencemanning
@lawrencemanning 22 години тому
I’ve had great success with the Yosys tools on my iCE40UP board. Not even looked at the proprietary tools. I’m sure there are some disadvantages but for my moderately complex projects Yosys is fantastic.
@CraigHollabaugh
@CraigHollabaugh 22 години тому
Good news. Thanks for the update.
@melovescotch
@melovescotch 23 години тому
Lattice lost my trust....
@DreamVladKo
@DreamVladKo 23 години тому
Ура!
@ksbs2036
@ksbs2036 День тому
It was such a stupid move to charge for the software. I'm sure they started to see orders for their devices dry up. And so many students would become familiar with Xilinx or Altera instead so they would have lost future orders
@pefclic
@pefclic День тому
You could always use OpenSource solution like Yosys
@alecsei393ify
@alecsei393ify День тому
Thank you!
@sohampramanik5790
@sohampramanik5790 День тому
Damn..the channel is still alive
@magnuswootton6181
@magnuswootton6181 День тому
yay fpgas! how to wip a gpus ass.
@raulguerreroflores1460
@raulguerreroflores1460 День тому
Stack = first in , first out
@lardogcat
@lardogcat 4 дні тому
Well done video, it took me about 20 minutes including loading up the tools to get the first bit of Verilog code working. Thanks!
@Nandland
@Nandland 5 днів тому
If you need a license for iCEcube2 email me [email protected] with your MAC address and I'll get you one. Lattice changed their license form. Sorry for the inconvenience!
@Hfgh564
@Hfgh564 6 днів тому
Amazing courses, amazing board and amazing book! The IceCube2 is no free anymore and this is insane :S Russel, I've emailed you with my mac, please drop some response when you got a sec! Thanks for everything you do!
@Nandland
@Nandland 5 днів тому
Thanks for the email. I'll make the request thru my Lattice contacts for the license. Thanks for your patience and understanding, this should be fixed soon (fingers tightly crossed).
@Hfgh564
@Hfgh564 5 днів тому
@@Nandland Thanks for the response!
@sombrachibi
@sombrachibi 6 днів тому
I'm missing new content from you Mr.Nandland! You are the best teacher I've ever seen for all FPGA-related topics. Please consider making more youtube videos! I bought your book btw.
@Atmatan_Kabbaher
@Atmatan_Kabbaher 7 днів тому
Right now, im really mad at that guy who told me "analog computing is the key to sentience in AI", because here i am: learning about FPGAs for the first time in 2024. 🥲
@sree8132
@sree8132 10 днів тому
Good overview ,particular with the examples. Looking for forward for FPGA vs Micro processor
@SuryadevSingh-sz2ge
@SuryadevSingh-sz2ge 11 днів тому
I am working on the project where I have to setup board to board connection using PMOD Bluetooth module with basys 3 board can anyone tell me how to approach this.
@edwardbrito8802
@edwardbrito8802 20 днів тому
Dude you’re awesome
@oluwatoniodetayo3141
@oluwatoniodetayo3141 22 дні тому
How do you know which logic gets precedence? Is it always "and" or is it the first logic operation from the left?
@michaelbailey9902
@michaelbailey9902 25 днів тому
I like others can not cinfiure serial port for teraterm, serial port grayed out, when I try form main menu says, no serail port, googled but haven't found anything yet? didn't see any responses to others who had similar issue?
@michaelbailey9902
@michaelbailey9902 24 дні тому
I think the drivers are not getting installed correctly
@Nandland
@Nandland 24 дні тому
Open Device Manager. Look under Ports (COM & LPT). When you plug in the Go Board you should see 2 COM ports pop up under there. If you do not, try a different USB cable.
@y_x2
@y_x2 Місяць тому
A FPGA does not have the RS232 voltage buffer!
@Kadala510
@Kadala510 Місяць тому
To help anyone else who runs across this issue: I had to remove the reset logic to get the transmitter to work. For some reason, the reset logic made the synthesizer think the entire transmitter was unused, so it removed it all.
@Casperdroid5
@Casperdroid5 Місяць тому
Amazing videos! Thank you so much! Go board was the best purchase for me to learn about FPGA
@Casperdroid5
@Casperdroid5 Місяць тому
for those who have a faint glowing led2,3,4, you can disable the leds in the code for vhdl, as an example led2: declare in the entity: o_LED_2 : out std_logic; and in the architecture: o_LED_2 <= '0' ;
@DoktorSchaedel
@DoktorSchaedel Місяць тому
Verilog prof: VHDL is trash VHDL prof: Verilog is trash
@bendustin7609
@bendustin7609 Місяць тому
Thank you!
@TheDudeeAbides
@TheDudeeAbides 2 місяці тому
"Mr. Slave" 🤣🤣 I could tell you were holding back the chuckles for that South Park reference lol
@erfansaeni2831
@erfansaeni2831 2 місяці тому
23:11 For anyone who just wants the VHDL part
@Nodal488
@Nodal488 2 місяці тому
Best explanation ive seen so far :p
@Jinjukei
@Jinjukei 2 місяці тому
Another disadvantage of FPGA is the heat generation (due to high power consumption). If you want to build a compact prototype (product), it might get pretty hot and will reduce the lifetime or even shut down in warmer environments.
@Jinjukei
@Jinjukei 2 місяці тому
Great, thanks!
@DavidValle-ej8es
@DavidValle-ej8es 2 місяці тому
Thanks for this, I miss your videos also
@APTAD03
@APTAD03 2 місяці тому
Thank you for all that you do! I am learning incredibly soo much from your videos and I will definitely grab a book from your web :)
@scalesr1
@scalesr1 2 місяці тому
Thank you for this - I'm trying to get my head around I2C for an enhancement to an existing project (sending data to a PIC) and I have no idea where to start! This is an excellent introduction - thank you.
@b213videoz
@b213videoz 2 місяці тому
9:44 ; does not terminate but separates,if it's the final entity there is no separator needed - like in Pascal Vs C
@MostElectronics
@MostElectronics 2 місяці тому
You’re awesome
@yahiagamal937
@yahiagamal937 2 місяці тому
Dear engineers, I'm new to FPGAs, I bought a Nandland Go Board to learn hardware programming and do a project that requires connecting a camera to the board. The first thing I struggled with was finding a camera I could use with the limited ports available on the board. Can anyone help?
@yahiagamal937
@yahiagamal937 2 місяці тому
Dear engineers, I'm new to FPGAs, I bought a Nandland Go Board to learn hardware programming and do a project that requires connecting a camera to the board. The first thing I struggled with was finding a camera I could use with the limited ports available on the board. Can anyone help?
@danielbjohnson
@danielbjohnson 2 місяці тому
Strangely I get two COM ports in device manager when I plug in the go board.
@GrandNecro
@GrandNecro 2 місяці тому
i think you made a mistake on the sram dram part. i thought sram is faster than dram because sram uses transistors primarily for storage rather than the capacitive storage that dram uses, which takes time to charge and discharge.